Anzeige
Anzeige
Anzeige
Anzeige
Anzeige
Anzeige
Anzeige
© anatoly abyshev dreamstime.com
Elektronikproduktion |

BMBF-Verbundprojekt erfolgreich beendet

Das von Carl Zeiss geführte Verbundprojekt „Lithografie für den 22-Nanometer-Knoten“ ist erfolgreich abgeschlossen.

In diesem nationalen Projekt – eingebettet in das vom niederländischen Unternehmen ASML geführte europäische EXEPT-Projekt („Extreme UV Lithography Entry Point Technology Development“) als Teil des CATRENE-Clusters – haben zwei Carl Zeiss Unternehmen und sechs weitere deutsche Firmen und Forschungseinrichtungen die EUV-Lithografie von der Grundlagenforschung zu einer voll einsetzbaren Technologie für die Serienproduktion von Mikrochips am 22-Nanometer-Knoten weiterentwickelt. Durch die EUV-Lithografie tragen Carl Zeiss und seine Partner entscheidend zum Anspruch der Halbleiterindustrie bei, Mikrochips immer kleiner, effizienter, umweltfreundlicher und preiswerter zu machen. Das Projekt wurde vom Bundesminis- terium für Bildung und Forschung (BMBF) mit insgesamt rund 16 Millionen Euro gefördert. EUV ist ein Verfahren der optischen Lithografie, das extrem ultraviolettes Licht zum Aufbringen von Halbleiterstrukturen auf Mikrochips nutzt. Mit der Erstellung des Demonstrators eines ersten EUV- Projektionssystems wurde ein entscheidendes Projektziel der Carl Zeiss SMT GmbH erreicht. Damit ist die Basis geschaffen, den strategischen Partner ASML zukünftig mit der Schlüsselkompo- nente des EUV-Scanners zu beliefern. „Die erfolgreiche Kooperation zur Weiterentwicklung dieser entscheidenden Technologie hat dazu beigetragen, die führende Rolle deutscher Firmen im Bereich Mikroelektronik weiter zu stärken“, resümierte Dr. Hermann Gerlinger, Mitglied des Vorstands der Carl Zeiss AG und Leiter der Ge- schäftsführung der Carl Zeiss SMT GmbH. „Wir stehen zum Standort Deutschland. Gezielte Förderungen wie die des BMBF unterstützen uns dabei, notwendige Kompetenzen auszubauen.“ Die Partner hatten im Rahmen des Verbundpro- jektes das für den Lithografieprozess benötigte Projektionssystem sowie die erforderliche Infrastruktur erarbeitet. So stellte die Carl Zeiss SMT GmbH (Oberkochen) unter anderem ein leis- tungsfähigeres Projektionsobjektiv, ein hochflexibles, energetisch verlustfreies Beleuchtungssystem sowie zu deren Qualifizierung erforderliche Messsysteme höchster Präzision bereit. IMS Chips (Stuttgart) lieferte hierfür neuartige optische Elemente zur Präzisionsmessung der EUV-Spiegel. Die Carl Zeiss SMS GmbH (Jena, Roßdorf) erarbeitete Maskenreparaturprozesse für EUV- Photomasken und wurde dabei vom Fraunhofer-Institut für Integrierte Systeme und Bauelementetechnologie (FhG IISB, Erlangen) mit theoretischen Untersuchungen unter Anwendung spezieller EUV-Simulationssoftware unterstützt. Die Bruker ASC GmbH (Köln) erarbeitete EUV/XUV-basierte Metrologielösungen, die unter anderem für Reflektometer zur optischen Charakterisierung von EUV-Masken einsetzbar sind. Die SÜSS MicroTec Photomask Equipment GmbH & Co. KG (Sternenfels) stellte Prozessanlagen und Prozesse für die Reinigung und automatisierte Handhabung der EUV-Masken, die Dynamic Microsystems GmbH (DMS, Radolfzell) Anlagen zur Reinigung und Dekontamination der EUV- Maskentransportbehälter zur Verfügung. Die von beiden Firmen bereitgestellten Anlagen und Prozesse erfüllen höchste Reinheitsanforderungen. Das Advanced Mask Technology Center GmbH & Co. KG (AMTC, Dresden) entwickelte entsprechende Reinigungstechnologien für EUV-Masken der 20-Nanometer-Technologie. Die Verwertung der Projektergebnisse trägt auch kommerziell erste Früchte. Neben Carl Zeiss gelang es SÜSS MicroTec und DMS erste, im Rahmen des Projektes erarbeitete Demonstra- toren und Technologien für Kunden weltweit bereitzustellen. Damit haben sich diese Firmen auf dem Gebiet der EUV-Technik die Technologieführerschaft sowie eine bedeutende Marktstellung erarbeitet.

Anzeige
Anzeige
Weitere Nachrichten
2024.04.15 11:45 V22.4.27-1
Anzeige
Anzeige